verilog实现一个BCD码十进制计数器