vector初始化赋值