用Verilog设计出10010序列检测器的三段式状态机实现