序列检测器设计vhdl