verilog怎么从txt读取数据