Verilog 按位与赋值