怎样实现CRC校验,Verilog代码