vector赋初始值