verilog给reg赋初值