//Build a 32-bit Galois LFSR with taps at bit positions 32, 22, 2, and 1.

草图



verilog描述


module top_module(
input clk,
input reset, // Active-high synchronous reset to 32'h1
output reg [31:0] q
); always @(posedge clk) begin
if(reset)begin
q <= 32'h1;
end
else begin
q <= {1'b0^q[0],q[31:23],q[22]^q[0],q[21:3],q[2]^q[0],q[1]^q[0]};
end
end
endmodule

vivado下的RTL原理图:



quartus下的RTL原理图:采用大量选择器

最新文章

  1. jQuery静态方法noop,camelCase,nodeName,trim使用和源码分析
  2. 使用git svn clone迁移svn仓库
  3. Sqoop_ 从 hive 导到mysql常遇九问题总结(转)
  4. 配置fabric-crashlytics教程
  5. atitit.提升软件开发的效率and 质量的那些强大概念and方法总结
  6. unity vr sample on htc vive
  7. 黄聪:手机移动站Web响应式开发工具Viewport Resizer插件(360浏览器、谷歌Chrome浏览器兼容)
  8. 前端代码优化: 使用YUI Compressor
  9. 开发移动app与服务器端session的状态管理与交互
  10. 如何为C语言添加一个对象系统
  11. 【Linux/Ubuntu学习 14】Linux下查看文件和文件夹大小
  12. CenterOS中安装Redis及开机启动设置
  13. tomcat+nginx+redis实现均衡负载、session共享(二)
  14. Javascript进阶篇——(数组)笔记整理
  15. n皇后问题 [随机化算法,拉斯维加斯算法]
  16. 推荐学习C#的地方
  17. web前端性能调优(二)
  18. 汇编指令-bic(位清除)、orr(位或)(3)
  19. JS跨域:2.解决方案之-设置回调参数
  20. postman接口测试举例情况

热门文章

  1. VUE如何创建一个项目
  2. DP4056软硬兼容TP4056,低成本
  3. 在SublimeText3中想使用快捷键调出插件ColorPicker不起作用办法解决
  4. Qt 中文编译错误和运行显示乱码
  5. Java-封装结果集示例
  6. Spyder无法使用搜狗输入中文的解决办法
  7. Centos 7 环境 安装todesk异常
  8. 物联网IOT定位技术详解
  9. Amd,Cmd, Commonjs, ES6 import/export的异同点
  10. PowerShell Regex