一、按键抖动原理

  按键抖动原理:按键存在一个反作用弹簧,因此当按下或者松开时均会产生额外的物理抖动,物理抖动会产生电平的抖动。

  消抖方法:一般情况下,抖动的总时间会持续20ms以内,按下按键后,等20ms过去了再取键值就行了。

  市面上有多种按键消抖的方法,我对比了各家的代码,发现有两种方法非常好用,其原理略微的不同。同时将小梅哥FPGA中的task任务和仿真模型的概念一并记录下来。

二、第1种按键消抖

  只对按下侧的抖动进行消除,弹起的就不管了,因为我们使用按键时要的也是按下后的键值。输出为1clk的按键值。

 //======================================================================
// --- 名称 : key_filter
// --- 作者 : xianyu_FPGA
// --- 日期 : 2018-11-02
// --- 描述 : 按键消抖,输出为1个clk的输入,只关注按下侧的消抖
//====================================================================== module key_filter
//---------------------<参数定义>---------------------------------------
#(
parameter TIME_20MS = , //20ms时间
parameter TIME_W = , //20ms时间位宽
parameter KEY_W = //按键个数
)
//---------------------<端口声明>---------------------------------------
(
input clk , //时钟,50Mhz
input rst_n , //复位,低电平有效
input [KEY_W-:] key , //按键输入
output reg [KEY_W-:] key_vld //按键消抖后的输出
);
//---------------------<信号定义>---------------------------------------
reg [TIME_W-:] cnt ;
wire add_cnt ;
wire end_cnt ;
reg [KEY_W -:] key_r0 ;
reg [KEY_W -:] key_r1 ;
reg flag ; //----------------------------------------------------------------------
//-- 信号同步 + 消除亚稳态
//----------------------------------------------------------------------
always @(posedge clk or negedge rst_n)begin
if(!rst_n)begin
key_r0 <= ;
key_r1 <= ;
end
else begin
key_r0 <= key; //信号同步
key_r1 <= key_r0; //打拍,防亚稳态
end
end //----------------------------------------------------------------------
//-- 20ms计时
//----------------------------------------------------------------------
always @(posedge clk or negedge rst_n)begin
if(!rst_n)
cnt <= ;
else if(add_cnt)begin
if(end_cnt)
cnt <= ;
else
cnt <= cnt + ;
end
else
cnt <= cnt;
end assign add_cnt = flag== && key_r1!= ; //允许计数 且 按键按下
assign end_cnt = add_cnt && cnt==TIME_20MS-; //计到20ms //计满指示
always @(posedge clk or negedge rst_n)begin
if(!rst_n) //复位
flag <= ; //flag=0允许计数
else if(end_cnt) //20ms到
flag <= ; //flag=1不再计数
else if(key_r1==) //按键松开
flag <= ; //flag=0,为下次计数做准备
else //否则
flag <= flag; //维持自身
end //----------------------------------------------------------------------
//-- 按键消抖完成,输出按键有效信号
//----------------------------------------------------------------------
always @(posedge clk or negedge rst_n)begin
if(!rst_n)
key_vld <= ;
else if(end_cnt) //20ms到
key_vld <= key_r1; //按键已消抖,可以使用
else
key_vld <= ;
end endmodule

  现在编写仿真代码。由代码可以看到这里使用了task任务,用其定义一个完整的按下弹起的按键过程。

  task的语法如下:

task <任务名>;<端口及数据类型声明语句>
<语句1>
<语句2>
.
.
.
<语句n>
endtask

  task调用的语法如下:

<任务名> (端口1, 端口2 ... 端口n);

  在task任务中,模拟抖动时采用了随机数发生函数来产生抖动。$random这一系统函数可以产生一个有符号的32位随机整数。一般的用法是“$random%b”,其中b > 0。这样就会生成一个范围在 [-(b-1),b-1] 中的随机数。如果只得到正数的随机数,这可采用“{$random}%b”来产生,这样就会生成一个方位在 [0,b-1] 中的随机数。

 `timescale 1ns/1ps  //时间精度
`define Clock //时钟周期 module key_filter_tb;
//---------------------<端口声明>---------------------------------------
reg clk ;
reg rst_n ;
reg [:] key ;
wire [:] key_vld ; //----------------------------------------------------------------------
//-- 模块例化
//----------------------------------------------------------------------
key_filter
#( //参数传递
.TIME_20MS ( )
)
u_key_filter //模块例化
(
.clk (clk ),
.rst_n (rst_n ),
.key (key ),
.key_vld (key_vld )
); //----------------------------------------------------------------------
//-- 时钟信号和复位信号
//----------------------------------------------------------------------
initial begin
clk = ;
forever
#(`Clock/) clk = ~clk;
end initial begin
rst_n = ; #(`Clock*+);
rst_n = ;
end //----------------------------------------------------------------------
//-- task函数编写,模拟按键抖动
//----------------------------------------------------------------------
reg [:] rand ; task press_key;
begin
repeat() begin //50次按下随机时间抖动
rand = {$random}%;
#rand;
key = ~key;
end
key = 'b1001;
#; repeat() begin //50次释放随机时间抖动
rand = {$random}%;
#rand;
key = ~key;
end
key = ;
#;
end
endtask //----------------------------------------------------------------------
//-- 设计输入信号
//----------------------------------------------------------------------
initial begin
#;
key = ; #(`Clock*+); //初始化完成
press_key; #;
press_key; #;
press_key; #;
$stop;
end endmodule

  Modelsim仿真波形如下所示:

  可以看到按键抖动被非常完美的仿真出来了。但是消抖后的按键值好像有点问题,没有变化一直为0?并非如此!是因为此设计的key_vld只维持1个clk的按键值,我们放大看看,喏,出现了!

三、第2种按键消抖

  按下和弹起的抖动都消除掉

 //======================================================================
// --- 名称 : key_filter
// --- 作者 : xianyu_FPGA
// --- 日期 : 2018-11-02
// --- 描述 : 按键消抖,输出为消抖后的输入,计数器一直在工作
//====================================================================== module key_filter
//---------------------<参数定义>---------------------------------------
#(
parameter TIME_20MS = , //20ms时间
parameter TIME_W = , //20ms时间位宽
parameter KEY_W = //按键个数
)
//---------------------<端口声明>---------------------------------------
(
input clk , //时钟,50Mhz
input rst_n , //复位,低电平有效
input [KEY_W-:] key , //按键输入
output reg [KEY_W-:] key_vld //消抖后的按键输出
);
//---------------------<信号定义>---------------------------------------
reg [TIME_W-:] cnt ;
wire add_cnt ;
wire end_cnt ;
reg [KEY_W -:] key_r0 ;
reg [KEY_W -:] key_r1 ;
reg [KEY_W -:] key_r2 ;
wire key_press ; //----------------------------------------------------------------------
//-- 边沿检测
//----------------------------------------------------------------------
always @(posedge clk or negedge rst_n)begin
if(!rst_n)begin
key_r0 <= ;
key_r1 <= ;
key_r2 <= ;
end
else begin
key_r0 <= key; //信号同步
key_r1 <= key_r0; //打拍,防亚稳态
key_r2 <= key_r1;
end
end assign key_press = key_r1 ^ key_r2; //按键状态变化检测 //----------------------------------------------------------------------
//-- 20ms计时
//----------------------------------------------------------------------
always @(posedge clk or negedge rst_n)begin
if(!rst_n)
cnt <= ;
else if(add_cnt)begin
if(end_cnt)
cnt <= ;
else
cnt <= cnt + ;
end
else
cnt <= cnt;
end assign add_cnt = ; //一直处于计数状态
assign end_cnt = key_press || (cnt== TIME_20MS-); //按键仍在抖动或计到了20ms,则清0 //----------------------------------------------------------------------
//-- 按键消抖完成,输出按键有效信号
//----------------------------------------------------------------------
always @(posedge clk or negedge rst_n)begin
if(!rst_n)
key_vld <= ;
else if(cnt==TIME_20MS-) //cnt计到20ms
key_vld <= key_r2; //按键已消抖,可以使用
else
key_vld <= key_vld;
end endmodule

  现在编写仿真代码,和上面略微不同,我们使用一个新玩意:仿真模型。示意图如下,key_filter_tb是仿真文件,key_module模块是key_filter模块的仿真模型。

  实际代码如下:

 `timescale 1ns/1ps  //时间精度
`define Clock //时钟周期 module key_filter_tb;
//---------------------<端口声明>---------------------------------------
reg clk ;
reg rst_n ;
wire [:] key ; //本是输入现在变成了内部信号,故改成wire型
wire [:] key_vld ; //----------------------------------------------------------------------
//-- 模块例化
//----------------------------------------------------------------------
//按键消抖仿真模型
key_module u_key_module
(
.key (key )
); //按键消抖设计文件
key_filter
#( //参数传递
.TIME_20MS ( )
)
u_key_filter //模块例化
(
.clk (clk ),
.rst_n (rst_n ),
.key (key ),
.key_vld (key_vld )
); //----------------------------------------------------------------------
//-- 时钟信号和复位信号
//----------------------------------------------------------------------
initial begin
clk = ;
forever
#(`Clock/) clk = ~clk;
end initial begin
rst_n = ; #(`Clock*+);
rst_n = ;
end endmodule

key_filter_tb

 //======================================================================
//--名称 : key_module
//--作者 : xianyu_FPGA
//--日期 : 2018-11-02
//--描述 : key按键消抖模块的仿真模型
//======================================================================
`timescale 1ns/1ps module key_module
//---------------------<端口声明>---------------------------------------
(
output reg [:] key
); //----------------------------------------------------------------------
//-- task函数编写,模拟按键抖动
//----------------------------------------------------------------------
reg [:] rand ; task press_key;
begin
repeat() begin //50次按下随机时间抖动
rand = {$random}%;
#rand;
key = ~key;
end
key = 'b1001;
#; repeat() begin //50次释放随机时间抖动
rand = {$random}%;
#rand;
key = ~key;
end
key = ;
#;
end
endtask //----------------------------------------------------------------------
//-- 设计输入信号
//----------------------------------------------------------------------
initial begin
#;
key = ; #; //初始化完成
press_key; #;
press_key; #;
press_key; #;
$stop;
end endmodule

key_module

  Modelsim仿真波形如下,输出波形刚好是消抖后的按键值,完美!

  这两种按键消抖的本质都是一样的。第一种适用于只采1clk按键值的场景,例如按一下按键,计数器加1一次。第二种按键适用于各种场合,如果也只需要1clk的按键值,则在消抖后、使用前再用一次下降沿检测即可实现和第一种按键消抖一样的效果。

参考资料:

[1]小梅哥FPGA教程

[2]锆石科技FPGA教程

最新文章

  1. spring属性依赖注入
  2. JAVA实现Excel导出数据(以写好的Excel模版导出)
  3. Catel帮助手册-Catel.Core:(1)参数检查
  4. query通用开源框架
  5. SQL Server 查看数据库是否存在阻塞
  6. 如何使用python timeit模块使用实践
  7. Bat脚本命令说明
  8. java 线程方法 ---- join()
  9. 20155205 郝博雅 Exp4 恶意代码分析
  10. 二进制安装 kubernetes 1.12(五) - 运行测试实例
  11. 【游戏开发&amp;Unity】捏脸系统(附源码)
  12. python 全栈开发,Day59(小米商城)
  13. ubuntu系统ftp连接 以及ssh连接
  14. angular、jquery、vue 的区别与联系
  15. 01: awk常用
  16. Parse 使用- iOS 后台数据[转]
  17. 在centos7中用mariadb代替了mysql数据库吗
  18. 【pyhon】nvshens图片批量下载爬虫
  19. linux vi文本编辑器三种模式切换及常用操作
  20. 【模板】BZOJ 1692:队列变换—后缀数组 Suffix Array

热门文章

  1. video.js学习笔记
  2. SQL基础-操纵表及插入、查询
  3. 玩家属性同步优化-脏数据标记(位运算、数组、stl之bitset)
  4. [golang]Go常见问题:# command-line-arguments: ***: undefined: ***
  5. 设置win 10 软件开机启动
  6. Django基础之redirect()
  7. 网站性能测试工具 webbench 的安装和使用-linux
  8. GIT 安装和升级
  9. 【Alpha】“北航社团帮”小程序v1.0项目展示
  10. Jmeter工具功能介绍