//这是打印5列n行的表格,所以mod="5" value="4"
<tr>
<volist name="data" id="val" key="i" mod="5">
<td width="20%"><a href="#">{$val.qu}</a></td>
<if condition="$i eq count($data)"></tr>//$i代表着到最后一个数据时直接加上行数结尾标签就可以
<else />
<eq name="mod" value="4"></tr><tr></eq>//value="4"代表着到第5列的时候行数的開始和结束
</if>
</volist>

最新文章

  1. js实现匀速运动及透明度动画
  2. 如何扩大LVM 逻辑分区的大小?
  3. ViewPager +Fragment 滑动游标
  4. CodeUI Test:UIMap录制文件分析一
  5. C++STL之迭代器2
  6. 读取jar内的配置文件
  7. ios 中介者模式
  8. Entify Framewrok - 学习链接
  9. 【sql语句】好用的sql语句之项目数据库学习总结
  10. C# 根据年月获得此月第一天和最后一天,并计算工作日
  11. linux 命令之 uptime
  12. 【转】mysql-5..6.23-win64.zip安装及配置
  13. 说说 DWRUtil
  14. Ping pong(树状数组求序列中比某个位置上的数小的数字个数)
  15. .netcore2.1使用swagger显示接口说明文档
  16. linux_FTP连接失败
  17. ASP.NET MVC 学习笔记-2.Razor语法
  18. vue-awesome-swiper轮播的使用
  19. 【Oracle】存储过程写法小例子
  20. js 三元表达式

热门文章

  1. Django实现文章按年月归档、点赞和评论、发送邮件
  2. Spring 中Bean的装配方式
  3. git - git命令中文显示乱码
  4. 修改 jupyter notebook 启动工作路径的方法
  5. linux 大法
  6. LoadRunner截取字符串操作
  7. Java8所有的包介绍(由英文文档翻译而来)
  8. Docker应用系列(四)| 部署java应用
  9. Educational Codeforces Round 38 部分题解
  10. Unity全面优化