# -----------------------------------------------------------------------------
# Vivado Non-Project Flow
# -----------------------------------------------------------------------------
set DESIGN [lindex $argv ] # -----------------------------------------------------------------------------
config_webtalk -user off # -----------------------------------------------------------------------------
create_project -in_memory # -----------------------------------------------------------------------------
proc read_filelist {filelist} {
global hdl_list
global incdir_list
set fp [open $filelist r]
while {[gets $fp fname] != -} {
if {[regexp {^//} $fname]} {
continue
} elseif {[regexp {^\S+\.v} $fname]} {
lappend hdl_list $fname
} elseif {[regexp {^-v} $fname]} {
regsub {^-v} $fname "" fname_sub
lappend hdl_list $fname_sub
} elseif {[regexp {^\+incdir\+} $fname]} {
regsub {^\+incdir\+} $fname "" fname_sub
lappend incdir_list $fname_sub
}
}
close $fp
} # -----------------------------------------------------------------------------
set hdl_list ""
set incdir_list ""
read_filelist "../../rtl/filelist.f" set_property verilog_define "SYNTHESIS" [current_fileset]
set_property include_dirs $incdir_list [current_fileset]
set_property top ${DESIGN} [current_fileset] read_verilog $hdl_list
#read_ip "../scr/ila_debug.xci"
read_xdc "../scr/${DESIGN}.xdc" # -----------------------------------------------------------------------------
synth_design -top ${DESIGN} -part xc7z020clg400-
write_checkpoint -force ../rpt/${DESIGN}_synth.dcp
report_utilization -file ../rpt/${DESIGN}_synth_utilization.rpt
report_timing_summary -file ../rpt/${DESIGN}_synth_timing_summary.rpt # -----------------------------------------------------------------------------
if {[llength [get_debug_cores -quiet]] > } {
implement_debug_core
} write_debug_probes ../rpt/${DESIGN}.ltx # -----------------------------------------------------------------------------
opt_design -directive Explore
place_design -directive Explore
phys_opt_design -directive Explore
write_checkpoint -force ../rpt/${DESIGN}_placed.dcp
report_utilization -file ../rpt/${DESIGN}_placed_utilization.rpt
report_timing_summary -file ../rpt/${DESIGN}_placed_timing_summary.rpt # -----------------------------------------------------------------------------
route_design -directive Explore -tns_cleanup
phys_opt_design -directive Explore
write_checkpoint -force ../rpt/${DESIGN}_routed.dcp
report_route_status -file ../rpt/${DESIGN}_routed_status.rpt
report_timing_summary -file ../rpt/${DESIGN}_routed_timing_summary.rpt # -----------------------------------------------------------------------------
write_bitstream -force ../rpt/${DESIGN}.bit
write_cfgmem -format bin -interface SPIx1 -size -loadbit "up 0 ../rpt/${DESIGN}.bit" ../rpt/${DESIGN}.bin

最新文章

  1. 一个简单的webservice的demo(下)winform异步调用webservice
  2. JavaScript 动态插入 CSS
  3. Python – locals和globals
  4. python入门基础代码
  5. Webpack打包进阶
  6. poi 导出 excel
  7. js基础之COOKIE
  8. c# 图片XML序列化与反序列化
  9. VMware10中的CentOS6.5命令行安装VMwaretools工具启用windows与虚拟机中Linux系统的共享目录
  10. Android Volley源码分析
  11. Selenium2Library系列 keywords 之 _SelectElementKeywords 之 get_list_items(self, locator)
  12. jquery简单异步读取xml文件
  13. php浏览历史记录的方法
  14. 设置ubuntu下使用ls命令显示文件颜色显示
  15. 分布式架构--Dubbo项目实战学习文档
  16. 软件开发 [CJOJ 1101] [NOIP 模拟]
  17. Java对日期Date类进行日期加减运算,年份加减,月份加减
  18. java日期格式(年月日时分秒毫秒)
  19. Spring AMQP 源码分析 04 - MessageListener
  20. Oracle数据库拼接字符串

热门文章

  1. Android A/B System OTA分析(一)概览【转】
  2. 025_Excel知识汇总
  3. rabbitmq - 消息接收,解析xml格式数据时异常:ERROR not well-formed (invalid token): line 4, column 46
  4. 使用expect快速登录线上机器
  5. Python3基础 yield 在无返回值的函数中的简单示例
  6. Jenkins - 以Docker方式安装启动Jenkins
  7. teamviewer破解版
  8. 机器学习技法总结(四)(aggregation,vote,bootstrap...)
  9. Java线程 : 线程同步与锁
  10. 微信小程序之自定义导航栏(可实现动态添加)以及swiper(swiper-item)实现自动切换,导航标题也跟着切换