最近遇到一个BUG,跟IIC通信有关,所以借这个机会总结一下IIC总线协议

1.引脚接口介绍

1.A0,A1,A2为24LC64的片选信号,IIC总线最多可以挂载8个IIC接口器件,通过对A0,A1,A2寻址,可以实现对不同的EEPROM操作

2.WP为读写使能信号,当WP悬空或者接地,EEPROM可读可写,当WP接电源,EEPROM只能读不能写。因为我们要对EEPROM写,所以这里WP信号悬空

3.SCL为时钟信号线,最高频率400Khz

4.SDA为数据线,双向线(inout),当为in时,数据通过SDA写到EEPROM。为out时,eeprom读出来的数据通过SDA传到外面

2.接口时序

IIC读写时序分为随机读写和页读写,这里只研究随机读写

2.1 写时序

写操作步骤

1.发送启动信号

2.发送控制字写(1010_A0A1A2_0 )

3.EEPROM发送应答信号ACK

4.发送高字节写地址

5.EEPROM发送应答信号ACK

6.发送低字节写地址

7.EEPROM发送应答信号ACK

8.发送8bit写数据

9.EEPROM发送应答信号ACK

10.发送停止信号

2.2 读时序

读操作信号

1.发送启动信号

2.发送控制字写(1010_A0A1A2_0)

3.EEPROM发送应答信号ACK

4.发送高字节读地址

5.EEPROM发送应答信号ACK

6.发送低字节读地址

7.EEPROM发送应答信号ACK

8.发送启动信号

9.发送控制字读(1010_A0A1A2_1)

10.EEPROM发送应答信号ACK

11.读取一个8bit数据

12..EEPROM发送NO ACK信号

13.发送停止信号

3.操作步骤解析

3.1启动信号

SCL 保持高电平期间 ,如果 SDA 出现由高到低的跳变,代表启动信号

3.2控制字

1010_A0A1A2X,

1.1010为EEPROM信号标识,为一组固定的序列

2.A0A1A2为片选信号,由于只有一个flash,所以A0A1A2在这里全为0

3.最后一个bit X,为0时代表写,为1时代表读。

3.3地址

24LC64表示有64Kbit的存储空间,需要13位地址线寻址。但是IIC是以字节的实行操作的,所以需要13位地址线扩展成16位,高3位随意填0或者1,习惯填0

3.4应答信号与非应答信号

应答信号和非应答信号都是由数据接收方(EEPROM)发出的,当SCL为高电平时候,如果检测到SDA为低电平,说明有应答信号。如果检测到SDA为高电平,说明有非应答信号。所以在应答时钟周期的时候,我们要释放SDA信号线,让EEPROM通过SDA发送一个低电平或者高电平过来。

3.5停止信号

SCL 保持高电平期间 ,如果 SDA 出现由低到高的跳变,代表停止信号

3.6 数据传输

由于IIC总线协议的启动和停止信号都是在SCL高电平期间发生跳变,这就决定了其数据只能在SCL低电平期间发生改变,不然会被当做启动或者停止信号处理。在SCL为高电平期间,数据必须保持稳定。即在SCL低电平的时候改变数据,高电平的时候采集数据

4关键代码解析

4.1状态机设置

4.2 sda信号线控制

由于sda是inout型,读写都是有这根线控制。所以我们要有一个信号,来指示sda信号线什么时候写,什么时候是读。

当link_sda信号为1的时候,指示sda信号写。这时候我们把需要写的数据一个bit一个bit的赋给中间变量sda_buf信号,该信号经过sda信号线把数据写进flash

当link_sda信号为0的时候,指示sda信号读。

完整代码如下

module iic_control(
input wire sclk,
input wire reset,
input wire key_wr,
input wire key_rd, output reg scl,
inout wire sda,
output wire[:] dataout,
output reg led
); parameter IDLE = 'b00_0000_0000_0000,
start1 = 'b00_0000_0000_0001,
control_byte1 = 'b00_0000_0000_0010,
ack1 = 'b00_0000_0000_0100,
high_addr_byte = 'b00_0000_0000_1000,
ack2 = 'b00_0000_0001_0000,
low_addr_byte = 'b00_0000_0010_0000,
ack3 = 'b00_0000_0100_0000,
start2 = 'b00_0000_1000_0000,
control_byte2 = 'b00_0001_0000_0000,
ack4 = 'b00_0010_0000_0000,
transfer_data = 'b00_0100_0000_0000,
ack5 = 'b00_1000_0000_0000,
no_ack = 'b01_0000_0000_0000,
stop = 'b10_0000_0000_0000; reg[:] state; reg[:] cnt; //分频计数
reg link_sda; //总线开关
reg sda_buf; //总线数据缓存器
reg wr; //写使能
reg rd; //读使能 reg[:] data;
reg[:] cnt_num;
reg[:] result; assign sda=(link_sda)?sda_buf:'hz;
assign dataout = result; always@(posedge sclk or negedge reset)
if(!reset)
cnt <= 'd0;
else if(cnt=='d124)
cnt <= 'd0;
else
cnt <= cnt + 'b1; always@(posedge sclk or negedge reset)
if(!reset)
scl <= 'b0;
else if(cnt=='d30)
scl <= 'b1;
else if(cnt=='d93)
scl <= 'b0; always@(posedge sclk or negedge reset)
if(!reset==)
begin
state <= IDLE;
link_sda <= ;
sda_buf <= ;
data <= ;
cnt_num <= 'd0;
result <= 'd0;
led <= ;
end
else case(state)
IDLE:
begin
if(!key_wr)
wr <= ;
if(!key_rd)
rd <= ;
if((wr==)||(rd==)&&(!scl==))
begin
state <= start1;
link_sda <= ;
sda_buf <= ;
data <= 'b10100000; //写控制字准备
end
end
start1:
begin
if((scl==)&&(cnt=='d61))
begin
state <= control_byte1;
link_sda <= ;
sda_buf <= ;
end
end
control_byte1:
begin
if((cnt_num<'d8)&&(cnt==7'd124))
begin
cnt_num <= cnt_num + ;
sda_buf <= data[];
data <= {data[:],data[]};
end
else if((cnt_num=='d8)&&(cnt==7'd124))
begin
state <= ack1;
link_sda <= ;
cnt_num <= ;
end
end
ack1:
begin
// if((scl==1)&&(cnt==7'd61)&&(sda==1'b0))
// begin
// state <= high_addr_byte;
// data <= 8'b00000000; //高字节地址准备
// link_sda <= 1;
// end
if((scl==)&&(cnt=='d61))
begin
state <= high_addr_byte;
data <= 'b00000000; //高字节地址准备
// link_sda <= 1;
end
end
high_addr_byte:
begin
if(cnt=='d124)
begin
link_sda <= ;
end
if((cnt_num<'d8)&&(cnt==7'd124))
begin
cnt_num <= cnt_num + ;
sda_buf <= data[];
data <= {data[:],data[]};
end
else if((cnt_num=='d8)&&(cnt==7'd124))
begin
state <= ack2;
link_sda <= ;
cnt_num <= ;
end
end
ack2:
begin
// if((scl==1)&&(cnt==7'd61)&&(sda==1'b0))
// begin
// state <= low_addr_byte;
// data <= 8'b00000000; //低字节地址准备
// link_sda <= 1;
// end
if((scl==)&&(cnt=='d61))
begin
state <= low_addr_byte;
data <= 'b00000000; //低字节地址准备
// link_sda <= 1;
end
end
low_addr_byte:
begin
if(cnt=='d124)
begin
link_sda <= ;
end
if((cnt_num<'d8)&&(cnt==7'd124))
begin
cnt_num <= cnt_num + ;
sda_buf <= data[];
data <= {data[:],data[]};
end
else if((cnt_num=='d8)&&(cnt==7'd124))
begin
state <= ack3;
link_sda <= ;
cnt_num <= ;
end
end
ack3:
begin
// if((scl==1)&&(cnt==7'd61)&&(sda==1'b0))
// begin
// link_sda <= 1;
// if(wr==1)
// begin
// state <= transfer_data;
// data <= 8'b10101010;//准备想要写入的数据
// end
// if(rd==1)
// begin
// state <= start2;
// sda_buf <= 1;//准备再次发启动信号
// end
// end
if((scl==)&&(cnt=='d61))
begin
// link_sda <= 1;
if(wr==)
begin
state <= transfer_data;
data <= 'b10101010;//准备想要写入的数据
end
if(rd==)
begin
state <= start2;
sda_buf <= ;//准备再次发启动信号
end
end
end
start2:
begin
if(cnt=='d124)
begin
link_sda <= ;
end
if((scl==)&&(cnt=='d61))
begin
state <= control_byte2;
sda_buf <= ;
data <= 'b10100001; //读控制字准备
end
end
control_byte2:
begin
if((cnt_num<'d8)&&(cnt==7'd124))
begin
cnt_num <= cnt_num + ;
sda_buf <= data[];
data <= {data[:],data[]};
end
else if((cnt_num=='d8)&&(cnt==7'd124))
begin
state <= ack4;
link_sda <= ;
cnt_num <= ;
end
end
ack4:
begin
// if((scl==1)&&(cnt==7'd61)&&(sda==1'b0))
// begin
// state <= transfer_data;
// data <= 8'b00000000; //低字节地址准备
// link_sda <= 0;
// end
if((scl==)&&(cnt=='d61))
begin
state <= transfer_data;
data <= 'b00000000; //低字节地址准备
// link_sda <= 0;
end
end
transfer_data:
begin
if(wr==)
begin
if(cnt=='d124)
begin
link_sda <= ;
end
if((cnt_num<'d8)&&(cnt==7'd124))
begin
cnt_num <= cnt_num + ;
sda_buf <= data[];
data <= {data[:],data[]};
end
else if((cnt_num=='d8)&&(cnt==7'd124))
begin
state <= ack5;
link_sda <= ;
cnt_num <= ;
wr <= ;
led <= ;
end
end
if(rd==)
begin
if(cnt=='d124)
begin
link_sda <= ;
end
if((cnt_num<'d8)&&(cnt==7'd124))
begin
cnt_num <= cnt_num + ;
result <= {result[:],sda};
end
else if((cnt_num=='d8)&&(cnt==7'd124))
begin
state <= no_ack;
link_sda <= ;
cnt_num <= ;
sda_buf <= ;
rd <= ;
end
end
end
ack5:
begin
// if((scl==1)&&(cnt==7'd61)&&(sda==1'b0))
// begin
// state <= stop;
// link_sda <= 1;
// end
if((scl==)&&(cnt=='d61))
begin
state <= stop;
// link_sda <= 1;
end
end
no_ack:
begin
if(cnt=='d124)
begin
state <= stop;
link_sda <= ;
sda_buf <= ;
end
end
stop:
begin
if(cnt=='d124)
begin
link_sda <= ;
end
if((scl==)&&(cnt=='d61))
begin
sda_buf <= ;
state <= IDLE;
end
end
default:state<=;
endcase endmodule

说明:由于仿真中没有嵌入EEPROM仿真模型,因此,无法给出ACK应答信号,没有应答信号,状态机就没办法继续向下跳转。所以为了完成仿真,就在代码中屏蔽了所有的ACK检测。在仿真中,只要看本该出现ACK信号的时候,sda信号是不是蓝色高组态。如果是高组态就表示仿真没有问题。在实际工程中,只需要把代码中屏蔽掉的if语句放开,把对应的if语句(仿真用的)屏蔽掉就可以直接用了

最新文章

  1. JDBC与Hibernate中SQL语句参数设置的顺序问题
  2. C#读写锁ReaderWriterLockSlim的使用
  3. .net接口开发远程调试
  4. Jmeter使用指南
  5. 推荐安卓开发神器(里面有各种UI特效和实例)
  6. LeetCode Day2
  7. jQuery 中的 Ajax $.ajax() load() $.get() $.post() $.getJSON() $.getScript()
  8. 正确的 zip 压缩与解压代码
  9. 个人作业2:APP案例分析
  10. Web从入门到放弃&lt;7&gt;
  11. SQL2005EXPress自动备份
  12. React 组件框架
  13. [入门]在Mac OS X下使用和配置Android Studio
  14. 福州首届.NET开源社区技术交流会圆满成功
  15. Kudu的集群安装(1.6.0-cdh5.14.0)
  16. python基础一 ------字符串的多种分隔符分隔
  17. sourceTree git的一些命令
  18. MySQL笔记(6)---锁
  19. Performance Tuning Guidelines for Windows Server 2012
  20. SQL Server数据库——数据库的数据导出与数据导入

热门文章

  1. PHP全栈学习笔记15
  2. Hadoop系列001-大数据概论
  3. 跟我一起学opencv 第五课之调整图像亮度和对比度
  4. 合肥.NET技术社区首次线下聚会全程回顾【多图】
  5. Capacitor 新一代混合应用“神器” 会代替Cordova吗??
  6. 【Android Studio安装部署系列】二十六、Android studio录制屏幕并生成gif文件
  7. ZooKeeper 02 - ZooKeeper集群的节点为什么是奇数个
  8. 行为驱动:Cucumber + Selenium + Java(五) - 使用maven来实现cucumber测试和报告
  9. 百度地图DEMO-路线导航,测距,标点
  10. java线程通信与协作小结 多线程中篇(十六)