#!/bin/bash
path="/sys/devices/platform/soc/fd880000.i2c-pld/i2c-0/i2c-4/i2c-15/15-0060"
arry=(module_interrupt module_present)#定义数组元素,可以扩展为多个,或仅为一个元素 for f_log in ${arry[*]}
do
rm -rf $f_log*.log
done for i in {1..32}
do echo "#####################Start test port["$i"]##########################"
echo "#cat $path/port"$i"_"${arry[0]}"" | tee -a 2>&1 ${arry[0]}.log
cat $path/port"$i"_"${arry[0]}" | tee -a 2>&1 ${arry[0]}.log echo "#cat $path/port"$i"_"${arry[1]}"" | tee -a 2>&1 ${arry[1]}.log
cat $path/port"$i"_"${arry[1]}" | tee -a 2>&1 ${arry[1]}.log sleep 1
#提示字符串,拔出optical or loopback module,端口号以红颜色显示$i,31m
read -t 30 -p "`echo -e "please unplug optical/loopback module \033[31m ["$i"] \033[0m"`...after that.Please press "Enter" key to continun":
sleep 1
echo "#cat $path/port"$i"_"${arry[0]}"" | tee -a 2>&1 ${arry[0]}.log
cat $path/port"$i"_"${arry[0]}" | tee -a 2>&1 ${arry[0]}.log echo "#cat $path/port"$i"_"${arry[1]}"" | tee -a 2>&1 ${arry[1]}.log
cat $path/port"$i"_"${arry[1]}" | tee -a 2>&1 ${arry[1]}.log sleep 1
#提示字符串,插回optical or loopback module,端口号以红颜色显示$i,31m
read -t 30 -p "`echo -e "please plug back optical/loopback module.\033[31m ["$i"] \033[0m"`..after that.Please press "Enter" key to continun"
sleep 1
echo "#cat $path/port"$i"_"${arry[0]}"" | tee -a 2>&1 ${arry[0]}.log
cat $path/port"$i"_"${arry[0]}" | tee -a 2>&1 ${arry[0]}.log echo "#cat $path/port"$i"_"${arry[1]}"" | tee -a 2>&1 ${arry[1]}.log
cat $path/port"$i"_"${arry[1]}" | tee -a 2>&1 ${arry[1]}.log
sleep 3 done

最新文章

  1. NOIP2016纪录[那些我所追求的]
  2. bzoj1103树状数组水题
  3. css 一些灵动性的小方法
  4. 杭电--1162--Eddy's picture--并查集
  5. PS中的图像知识
  6. linux第三方程序移植
  7. codeforces 514B. Han Solo and Lazer Gun 解题报告
  8. 设计模式学习之迭代器模式(Iterator,行为型模式)(17)
  9. 多线程基础(七)GCD线程组+栅栏函数
  10. HDU1004 Let the Balloon Rise(map的简单用法)
  11. nginx多域名的配置方法
  12. about云开发虚拟化资源汇总,持续更新
  13. org.jsoup.select.Selector
  14. [HMLY]8.Cocoa
  15. asp之FSO大全
  16. 洛谷P2845-Switching on the Lights 开关灯
  17. 利用cwRsync客户端将Windows下文件同步到Linux
  18. vi相关
  19. cifar数据集介绍及到图像转换的实现
  20. struts2中struts.xml和web.xml文件解析及工作原理

热门文章

  1. django template 模板
  2. IIS-7.5 第一次加载慢的 解决办法
  3. Django - 美化显示request.META
  4. [C++_QT] 同步方式提交GET和POST请求
  5. pycharm连接数据库报错Access denied for user 'root'@'localhost' (using password:YES),以及wampserver 2/3个服务器正在运行 问题
  6. coturn服务器配置中英对比
  7. Linux : file命令
  8. elasticsearch ik解析器
  9. UCS内存问题排查
  10. Java语言特性、加载与执行