1、get_full_name()

获取这个节点的完整层次,这函数在object中就有定义,但是在component类中进行了重载,实现输出从uvm_test_top到当前节点的路径(是通过执行m_set_full_name是保存路径在m_name中)。返回值如:env.agt.drv(这些名字不是类名,是实例名字)

2、get()

这个函数在很多类中都有,比如uvm_object_register #(T,Tname)和uvm_factory都要这个get()函数,这个函数都是通过单例模式返回这个类的唯一对象。

// uvm_factory中的源码
static local uvm_factory m_inst; function uvm_factory uvm_factory::get();
if(m_inst == null) begin
m_inst = new();
end
return m_inst;
endfunction

3、get_name()

获取当前节点的实例名字,(不是类名)

4、get_type_name()

获取类的名字,以string形式返回,这个string是在注册的时候产生的。

5、get_type()

这个函数是类在注册的时候产生的静态函数,通过这个函数获取的是一个uvm_object_registry或者uvm_component_registry的单例对象,通过这个对象,其实跟调用get()函数功能差不多,只是调用get()函数得到的是uvm_object_wrapper对象,而调用get_type则将uvm_object_wrapper转换成了子类类型,uvm_object_registry #(T,Tname)。

最新文章

  1. Linq对XML的简单操作
  2. 新手码农浅谈观察者模式(java语言简单实现)
  3. Noi 2016
  4. qt 4.6.2 与visual studio 2005 集成(编译方法,以及中间遇到的问题)
  5. centos 安装ecshop出现date错误
  6. vue.js快速搭建图书管理平台
  7. QWT与QT Designer
  8. Android官方命令深入分析之etc1tool
  9. UML之构件图
  10. 产品经理之PRD详解
  11. 扩展crt
  12. react16实战总结
  13. Socket编程(网络编程)
  14. MongoDB 日志切割三种方式
  15. 学习Java并发的课程
  16. HBase中多Filter查询示例
  17. 【scala】 scala 类 (五)
  18. 三维重建项目:Photo Tourism: Exploring Photo Collections in 3D
  19. jQuery设置下拉框select 默认选中第一个option
  20. Stamp Rally

热门文章

  1. Go语言系列之time
  2. RHCSA 第四天
  3. 【刷题-PAT】A1108 Finding Average (20 分)
  4. 【分享代码】bash中对一个逗号分隔的列表去重
  5. NAO机器人开发环境配置
  6. golang中的标准库time
  7. gin中jsonp的用法
  8. Docker 实操
  9. 不难懂-----redux
  10. HTTPS加密证书(1)